多语言展示
当前在线:567今日阅读:75今日分享:44

ALU算术逻辑单元VHDL编程

本教程向你展示如何使用VHDL设计一个ALU。本经验的ALU是基于181编写的。功能与181相同。
工具/原料

quartus

方法/步骤
2

编译

3

波形仿真

推荐信息