多语言展示
当前在线:180今日阅读:126今日分享:42

如何在vivado上创建工程

对于新手而言,FPGA入门比较门槛比较高,很多人对FPGA望而生畏,本经验中,本小编为大家介绍如何在FPGA的集成开发环境vivado中创建工程,谢谢大家的阅读。
工具/原料
1

PC

2

vivado 2017.4

方法/步骤
1

点击vivado软件,打开vivado,如下图所示。

2

在vivado的集成开发环境中,直接点击“create project”,或者在菜单栏中点击“File”,在下拉菜单中选择“New Project”,如下图所示。

3

在弹出的界面中点击“next”,如下图所示。

4

在弹出的界面中,选择“RTL Project”,点击“next”,如下图所示。

5

在弹出的界面中,选择“RTL Project”,点击“next”,如下图所示。

6

在接下来的Default Part中选择所用器件,如下图所示。

7

在弹出的“New Project Summary”中,点击“Finish”即可完成对vivado工程的创建,如下图所示。

注意事项
1

帮助别人快乐自己,学会分享是一种美德!

2

仅供参考

推荐信息