多语言展示
当前在线:1293今日阅读:84今日分享:32

Quartus II怎么快速设置仿真时钟波形

在进行时序电路的仿真是,经常需要使用时钟信号,如果一个时钟地去编辑不仅费时,而且时钟不均匀,Quartus II提供了一种快速生成时钟波形的操作,只需要选择左侧工具栏的钟表形状的图标然后设置相应的参数即可。下面是具体操作。
工具/原料

Quartus II 9.0

方法/步骤
1

第1步:在波形文件中选择目标始终端口。

2

第2步:选择左侧波形工具栏的钟表图标。

3

第3步:在弹出的Clock设置相应的参数,包括:时钟起始位置、时钟结束位置、时钟周期、时钟单位、占空比等,确认后点击“OK”。

4

第4步:时钟设置完毕后可以看到目标端口波形自动按照预设参数生成了相应的时钟序列。

5

第5步:在Clock对话框有一个Offset参数,它是第一个时钟起始相对于起始时间的偏移量,比如这里改为100ns。

6

第6步:可以看到增加Offset后,时钟向后偏移了Offset量。

注意事项
1

Offset设置为负,时钟向前偏移。

2

注意时钟的单位。

推荐信息