多语言展示
当前在线:253今日阅读:99今日分享:20

chipscope在线逻辑分析仪使用

chipscope将逻辑分析器、总线分析器和虚拟I/O小型软件核直接插入到用户的设计当中,可以直接查看任何内部信号或节点。
工具/原料
1

ISE12.1

2

硬件电路板

方法/步骤
1

打开ISE工程,例如:counter.xise 右键—>NewSource建立ChipScope_counter.cdc文件,可以看到文件自动加入到工程。

2

双击ChipScope_counter.cdc,next,点击New ILA Unit,Number of Input Trigger Ports: 所要查看的信号的数目,此处设为1,用来查看temp,点击next,进入信号分配界面

3

双击要设置的,并连接:选好后点击Make Connections此处要注意的是时钟连接的时候要连接缓冲时钟,即clk_BUFGP注意:有些内部信号在综合实现以后可能会被优化,所以你在关联的时候会找不到原来的信号名称,这是需要把信号给一个变量,把变量设为输出,这样才可观察

4

分配完成后点击OK返回,看到Net Connections中的信号由原来的红色变成黑色,说明所有的网络都已经建立起了链接关系,点击Return to Project Navigator

5

在ISE中重新编译,重新生成.bit文件,这样生成的文件就已经插入了所需要的核 。生成bit文件之前:右键点击"GenerateProgrammingFile",再点Process Properties,如下图:把"StartupOptions"项里面的FPGA Start-UP Clock 项选为JTAG Clock.设置好后,双击“GenerateProgrammingFile”开始编译,生成bit文件后,双击“AnalyzeDesignUsingChipScope”

6

依次展开左边的各项

推荐信息